Illustrations Atomic Layer Etching (ALEt) Process

Fig: Several approaches can be adopted for thin film deposition and etching for vapor phase based techniques. (a) In a continuous process, the process A is started at t = 0 and stopped after the deposited or etched thickness has been reached. In this basic approach, the control of the deposited or etched thickness is limited as the deposited or etched thickness is "flux-controlled". Variations in the process conditions easily lead to variations in the flux of species and hence, in the final deposited or etched thickness, both over multiple deposition runs (i.e., wafer-to-wafer) or over the substrate area (i.e., within-wafer). (b) In a pulsed process, the continuous process is basically divided up into pulses and the pulses are repeated until the deposited or etched thickness has been reached. When the pulse length is well-defined, pulsing provides typically additional control over the continuous process but due to the flux-controlled nature similar drawbacks as in the continuous process hold. (c) Atomic layer processes are "surface-controlled" as they are based on self-limiting surface chemistries during, e.g., two half-reactions (A and B) that make up a full cycle. Every cycle yields a well-defined, fixed thickness that is deposited or etched when the time per cycle for the half-cycles is sufficiently long (i.e., when working in "saturated conditions"). This means that the thickness of the deposited or etched film can be controlled very accurately by choosing the right number of cycles. This holds when comparing one deposition run to another but it also leads to an excellent uniformity over the full substrate. Ideal atomic layer processes are very independent of variations in timings or process conditions.

Fig: Schematic representation of one complete, generalized cycle of (a) atomic layer etching (ALEt) and (b) atomic layer deposition (ALD). In (c), the so-called saturation curves for the various steps in the ALEt and ALD processes are schematically illustrated. The depicted processes consist of two half-reactions A and B and the total cycle is divided into four process steps. Step 1 is the "adsorption step" and step 3 is the "activation step". In these steps, the surface is exposed to reactants, here defined as "precursor" in step 1 and "co-reactant" in step 3. Steps 2 and 4 are "purge steps". The cycles, and hence the process steps, are repeated multiple times when etching or depositing a film. Every cycle removes or adds an atomic layer from or to the film for ALEt and ALD, respectively. The saturation curves show that exposure to the reactants in steps 1 and 3 should be sufficiently long to reach saturation. The purging in between these steps should be sufficiently long to avoid parasitic CVD or parasitic etch reactions that compromise the ALEt or ALD character of the processes.

Fig: Schematic illustration of the key features for (a) atomic layer etching (ALEt) and (b) atomic layer deposition (ALD). The processes yield a precise control of the thickness etched (etch control) or deposited (growth control) per cycle for ALEt and ALD, respectively. The latter holds for the full substrate surface such that the uniformity is excellent. When processing a substrate with three-dimensional features, the situation for ALEt and ALD is however different. For ALD, the coverage of three-dimensional features is similar throughout the features and comparable to the planar surface, hence the conformality of ALD-prepared films is excellent. For etching processes such as ALEt, there is generally interest in etching vertical features which requires anisotropic processes in which only material is removed from the bottom of the vertical feature. In other cases, isotropic etching can be desired. In this case, the material should be etched equally on all exposed surfaces, independent of the orientation of the local surface on the substrate. For ALEt, also the selectivity of the etch process is key. Ideally, only the to-be-etched material should be removed and not masking materials or materials lying underneath the to-be-etched material.

Fig: Approaches to realize area-selective ALD [(a), (c) and (e)] and area-selective ALEt [(b), (d) and (f)]. (a) and (b) show an approach that can be labeled "inherently selective". The substrate is composed of several materials and on the surface of some of the materials, deposition or etching does (virtually) not occur for the ALD or ALEt surface chemistry chosen. The selectivity is therefore, inherent to the specific ALD or ALE process. (c) and (d) show an approach that can be labeled as "selective by deactivation" since part of the substrate is deactivated by a layer of molecules or a film (mask). No etching or deposition takes place at the parts of the surface that are deactivated. This approach is standard for etching. It is not problematic if the mask material is somewhat etched as long as it etches much slower than the to-be-etched material. (e) and (f) show an approach that can be labeled "selective by activation". Film growth or etching only initiates on those parts of the surface that are activated, e.g., by a focused electron or ion beam that locally interacts with the surface. For ALD, processes exist in which this activation only needs to be done before the first cycle; [ref] for ALEt, the local activation step needs to take place every cycle. In all the displayed cases for ALD [(a), (c) and (e)], the area-selective ALD processes depend on an effect known as nucleation delay. The film material easily deposits on some surfaces whereas on other areas, the film hardly nucleates or it takes much longer for the film to nucleate. For area-selective ALEt approaches (b) and (d), selectivity has the same meaning as that typical for etch processes. The to-be-etched material should etch much faster than any other material used. In (d), the selectivity should preferably approach infinity. Furthermore, it is noted that the possibility exists for designing area-selective ALEt approaches by combining ALEt and ALD. For example, area-selective ALD films can serve as a local mask.

Fig: A schematic representation of various types of cycles for ALD [ref] that can also be employed for ALEt: (a) a regular process, (b) a multistep process and (c) a supercycle process. In a multistep process, one or more additional steps are added to the cycle to form, for instance, an ABC process. In a supercycle, the steps of two regular processes are combined where m cycles of the first process are followed by n cycles of the second process. The variables m and n can be chosen so as to obtain the desired properties for the ALD or ALEt process.

Fig: Approaches that are being used to increase the throughput of ALD reactors that, in principle, can also be adopted for ALEt reactors. In (a), the approach is shown where the process is not operated under fully saturated conditions. When working with slightly shorter exposure times the cycle time can significantly be reduced (e.g., by a factor of two) while the thickness deposited or removed is only slightly reduced. For many processes and device architectures, the level of growth or etch control remains acceptable. In (b), the so-called spatial atomic layer process is shown. The cycles are not carried out in the time domain but in the spatial domain. It is noted however, that developing appropriate processes for spatial ALD is not straightforward and this is probably even more so for spatial ALEt.